数字密码锁ISP器件VHDL编程EDA技术 第10页

基于EDA技术数字密码锁

入、系统仿真、输入输出波形的同时显示,从而得到功能的实验验证。对于数字系统性实验,通常在电路中需要显示部分,以及输入控制部分。因此,还需要一块装配有两片基本芯片ispLSl1032ispGDS14以及发光二极管、数码管、按键等器件的实验板,该实验板与微机相连,可以进行大量的数字逻辑系统性实验和数字产品的开发。

0  对于数字系统性实验,由于电路规模较大,用ISP技术的原理图输入方式可采用化大为小的方法进行,即把规模较大的电路分成若干小电路,将小电路用原理图的输入方式输入并生成相应的模块,存放在元件库中,最后调用各模块连成规模较大的电路。

    ISP技术的出现,可使学生做数字电路实验时,用ISP Synario开发软件在计算机中完成相应器件的设计、电路特性模拟、综合、优化、仿真及验证,最后通过编程电缆下载到有多功能硬件系统9即实验板)中的isp器件,完成整个设计和实验过程。

  ISP技术应用到数字电路实验课中,可以解决原来使用不同规模集成电路进行电路实验时存在的不足,同时也可使学生尽快掌握电子技术方面的最新知识。

.VHDL语言介绍

31 VHDL语言的优点

VHDL的英文全名是Very-High-Speed Integrated Circuit HardwareDescription Language,诞生于1982年。1987年底,VHDLIEEE和美国国防部确认为标准硬件描述语言 。自IEEE公布了VHDL的标准版本,IEEE-1076(简称87)之后,各EDA公司相继推出了自己的VHDL设计环境,或宣布自己的设计工具可以和VHDL接口。此后VHDL在电子设计领域得到了广泛的接受,并逐步取代了原有的非标准的硬件描述语言。1993年,IEEEVHDL进行了修订,从更高的抽象层次和系统描述能力上扩展VHDL的内容,公布了新版本的VHDL,即IEEE标准的1076-1993版本,(简称93版)。现在,VHDLVerilog作为IEEE的工业标准硬件描述语言,又得到众多EDA公司的支持,在电子工程领域,已成为事实上的通用硬件描述语言。有专家认为,在新的世纪中,VHDLVerilog语言将承担起大部分的数字系统设计任务。
    VHDL
主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可是部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点

应用VHDL进行工程设计的优点是多方面的。

1)与其他的硬件描述语言相比,VHDL具有更强的行为描述能力,从而决
 
定了他成为系统设计领域最佳的硬件描述语言。强大的行为描述能力是避开具

 体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。

2VHDL丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功能可行性,随时可对设计进行仿真模拟。

3VHDL语句的行为描述能力和程序结构决定了他具有支持大规模设计的分解和已有设计的再利用功能。符合市场需求的大规模系统高效,高速的完成必须有多人甚至多个代发组共同并行工作才能实现。

4)对于用VHDL完成的一个确定的设计,可以利用EDA工具进行逻辑综合和优化,并自动的把VHDL描述设计转变成门级网表。
  
5VHDL对设计的描述具有相对独立性,设计者可以不懂硬件的结构,也不必管理最终设计实现的目标器件是什么,而进行独立的设计

传统的硬件电路设计方法是采用自下而上的设计方法,即根据系统对硬件的要求,详细编制技术规格书,并画出系统控制流图;然后根据技术规格书和系统控制流图,对系统的功能进行细化,合理地划分功能模块,并画出系统的功能框图;接着就进行各功能模块的细化和电路设计;各功能模块电路设计、调试完成后,将各功能模块的硬件电路连接起来再进行系统的调试,最后完成整个系统的硬件设计。采用传统方法设计数字系统,特别是当电路系统非常庞大时,设计者必须具备较好的设计经验,而且繁杂多样的原理图的阅读和修改也给设计者带来诸多的不便。为了提高开发的效率,增加已有开发成果的可继承性以及缩短开发周期,各ASIC研制和生产厂家相继开发了具有自己特色的电路硬件描述语言(Hardware Description Language,简称HDL)。但这些硬件描述语言差异很大,各自只能在自己的特定设计环境中使用,这给设计者之间的相互交流带来了极大的困难。因此,开发一种强大的、标准化的硬件描述语言作为可相互交流的设计环境已势在必行。于是,美国于1981年提出了一种新的、标准化的HDL,称之为VHSICVery High Speed Integrated Circuit

Hardware Description Language,简称VHDL。这是一种用形式化方法来描述数字电路和设计数字逻辑系统的语言。设计者可以利用这种语言来描述自己的设计思想,然后利用电子设计自动化工具进行仿真,再自动综合到门级电路,最后用PLD实现其功能。

综合起来讲,VHDL语言具有如下优点:

1.  覆盖面广,描述能力强,是一个多层次的硬件描述语言。在VHDL语言中,设计的原始描述可以非常简练,经过层层加强后,最终可成为直接付诸生产的电路或版图参数描述。

2.  具有良好的可读性,即容易被计算机接受,也容易被读者理解。

3.  使用期长,不会因工艺变化而使描述过时。因为VHDL的硬件描述与工艺无关,当工艺改变时,只需修改相应程序中的属性参数即可。

4.  支持大规模设计的分解和已有设计的再利用。一个大规模的设计不可能由一个人独立完成,必须由多人共同承担,VHDL为设计的分解和设计的再利用提供了有力的支持。

3.2  利用VHDL语言设计数字系统的特点

  主要描述系统的结构、行为、功能和接口。强大的行为描述能力可避开具体的器件结构,是设计大规模电子系统的重要保证。丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功能可行性。VHDL语句的行为描述能力和程序结构决定了他具有支持大规模设计的分解和已有设计的再利用功能。符合市场需求的大规模系统高效、高速地完成必须有多人甚至多个开发组共同并行工作。对于用VHDL完成的一个确定的设计,可以利用EDA工具进行逻辑综合和优化,并自动地把VHDL描述设计转变成门级网表。VHDL对设计的描述具有相对独立性,设计者可以不懂硬件的结构,也不必管理最终设计实现的目标器件是什么,而进行独立的设计。当电路系统采用VHDL

上一页  [1] [2] [3] [4] [5] [6] [7] [8] [9] [10]  ... 下一页  >> 

Copyright © 2007-2012 www.chuibin.com 六维论文网 版权所有